江南体育平台超详数据环球排名前十的半导体装备厂商详解
栏目:行业资讯 发布时间:2023-04-19
 在说半导体设备之前,我们先说一下半导体这个行当。从大的方向上来说,半导体市场可以分为集成电路、分立器件、光电子和传感器四大领域,其中尤以集成电路所占的份额最为庞大。  根据美国半导体产业协会(SIA)发布的最新发布的数据显示,2015 年全球半导体市场规模为 3,352 亿美元,比 2014 年略减0.2%。而集成电路的规模高达2,753 亿美元,占半导体市场的 81%。所以说集成电路是半导体

  在说半导体设备之前,我们先说一下半导体这个行当。从大的方向上来说,半导体市场可以分为集成电路、分立器件、光电子和传感器四大领域,其中尤以集成电路所占的份额最为庞大。

  根据美国半导体产业协会(SIA)发布的最新发布的数据显示,2015 年全球半导体市场规模为 3,352 亿美元,比 2014 年略减0.2%。而集成电路的规模高达2,753 亿美元,占半导体市场的 81%。所以说集成电路是半导体产业的重中之重。

  而根据SEMI公布的数据显示,2015年全球半导体设备出货金额为365.3亿美元,低于2014年的375.0亿美元(约1.23兆元台币)销售额。此项统计包含晶圆前段制程设备、后段封装测试设备以及其他前段设备。其他前段设备包括光罩╱倍缩光罩制造、晶圆制造以及晶圆厂设施。

  在这些设备采购份额中,已连续第4年稳坐半导体设备最大市场宝座,设备销售金额达96.4亿美元,这主要得益于本身在封测产业的兴旺,台积电江南体育最新、联电、矽品和日月光等,无一不是行业内名列前茅的代表,但其年增仅约2%。

  而南韩与日本市场扩大并超越北美,分别排名第2及第3,其中,日本市场以年增31%居各市场成长之冠。

  北美市场则是以51.2亿美元(约1679亿元台币)金额落到第4位,年减幅度高达37%、欧洲市场年减约19%﹔

  中国市场规模依旧超越欧洲市场及其他地区,年成长约12%。 高企的增长率除了国内对中芯国际、长电等企业的扶持外,还有就是格罗方德、台积电等知名企业和国内的合资或者投资建厂,给中国带来了增长的机遇。毫无疑问,中国在未来几年在半导体设备方面有高速增长的需求。

  但与高速增长需求不相匹配的是,国产半导体设备,尤其是在高端设备的缺失,提升了中国半导体产业的准入门槛。

  根据 SEMI 的统计, 2014 年全球半导体设备市场规模为 375 亿美元,前十大半导体设备厂商的销售额为 351 亿美元,市场占有率高达 93.6%,行业处于寡头垄断局面。 前十大半导体设备生产商中,有美国企业 4 家,日本企业 5 家,荷兰企业1 家。里面难寻中国厂商的踪影,这和近几年中国飞速发展的fabless产业是格格不入的。这也与今年国内推动的封测产业相去甚远的。如何提升国产设备的市场占有率就成为半导体从业者关注的另一个问题。

  PS:需要说明一下,在2015年10月,半导体设备制造商Lam Research(科林研发)以约106亿美元收购其竞争对手KLA-Tencor(科磊)公司,改变了设备市场的格局。

  假设 2014 年国内半导体设备的销售额为40.53 亿元,仅占全球半导体设备市场份额的 1.7%,处于可以忽略的地位,半导体设备的落后程度可见一斑。

  从上图可以看出,整个集成电路的打磨过程中,会涉及到各种各样的设备。我们就按照市场的占有率介绍一下前十的设备公司,并介绍一下他们的相关设备,并说明一下他们在半导体流程中充当什么角色。

  按维基百科,应用材料公司是全球最大的半导体设备和服务供应商。应用材料公司创建于1967年,公司总部位于美国加利福尼亚州圣克拉拉。应用材料公司1984年进入中国,目前在上海,北京,天津,苏州,无锡等地有办事处或仓库,在西安设有太阳能开发中心。

  应用材料公司的主要产品为芯片制造相关类产品,例如原子层沉积,物理气相沉积,化学气相沉积,电镀,侵蚀,离子注入,快速热处理,化学机械抛光,测量学和硅片检测等。应用材料公司每年的研究经费达到约10亿美元。

  1984年,应用材料公司进入中国,目前在上海,北京,天津,苏州,无锡等地有办事处或仓库,在西安设有太阳能开发中心(详见下文);

  2009年,应用材料公司在中国陕西西安开设了其太阳能技术中心(Solar Technology Center)—是目前全球最大的商业太阳能能源研究和发展机构/设施;

  2013年9月24日,应用材料宣布将透过换股方式,作价90亿美元收购主要竞争对手东京电子(Tokyo Electron),2015年4月28日,应用材料与东京电子表示将取消业务合并计划,因该合并未获得美国司法部认可。

  公司主要从事半导体设备的设计、制造及销售,ASML公司主要专精于晶片制造微缩影设备之设计制造与整合,积体电路生产流程中,其关键的制程技术则是微缩影(lithography)技术将电路图影像投射在晶片上之曝光。业务范围遍及全球,生产与研发单位则分别位于美国康乃狄克州、加州,以及荷兰。

  阿斯麦公司在世界14个国家和地区有50个子公司和生产据点,主要产品是用来生产大规模集成电路的核心设备光刻机,在世界同类产品中有90%的市占率。

  1984年,艾司摩尔从荷兰著名电子制造商飞利浦独立,此后致力于大规模集成电路制造设备的研究和制造。根据摩尔定律不断为提高单位面积集成度作贡献。2007年已经能够提供制造37nm线宽集成电路的光刻机。

  制造大规模集成电路时要对半导体晶圆曝光3,40次。如何在不降低品质的情况下,减少曝光次数是曝光机的发展方向。阿斯麦公司使用德国蔡斯公司的光路系统。镜头使用萤石和石英制造。

  曝光机是高附加值产品,一台新的曝光机动辄3000至5000万美元。但是研发周期长投入资金也相当巨大。

  阿斯麦公司为半导体生产商提供光刻机及相关服务,TWINSCAN系列是目前世界上精度最高,生产效率最高,应用最为广泛的高端光刻机型。目前全球绝大多数半导体生产厂商,都向ASML采购TWINSCAN机型,例如英特尔(Intel),三星(Samsung),海力士(Hynix,KSE:000660),台积电(TSMC),联电((NYSE:UMC)),格罗方德(GlobalFoundries,格罗方德成立于2009年3月2日,是从美国AMD公司制造部门分拆出。母公司分别为AMD及阿布扎比的Advanced Technology Investment Company(ATIC),其中ATIC占公司股权65.8%)及十二吋半导体厂。

  目前(截至2012年,现在的不知道,谁清楚请告知)已经商用的最先进机型是Twinscan XT 1950i,每小时单位产出为260片(WPH)12吋芯片,属于浸润式(immersion)光刻机,用来生产关键尺度低于38纳米的集成电路。

  除了目前致力于开发的TWINSCAN平台外,阿斯麦公司还在积极与IBM等半导体公司合作,开发下一代光刻技术,比如EUV(极紫外线光刻),用于关键尺度在22纳米甚至更低的集成电路制造。目前阿斯麦公司已经向客户递交若干台EUV机型,用于研发和实验。同时,基于传统TWINSCAN平台的双重曝光等新兴技术,也在进一步成熟和研发过程当中。07年末三星(Samsung)宣布成功生产的36nm NAND Flash,基于的便是双重曝光技术(double patten)。

  2012年7月10日,英特尔斥资41亿美元收购荷兰芯片设备制造商阿斯麦公司的15%股权,另出资10亿美元,支持阿斯麦公司加快开发成本高昂的芯片制造科技。先以21亿美元,收购阿斯麦公司10%股权,待股东批准后,再以10亿美元收购5%股权,投注金额将以发展450mm机台以及EUV研发制造10nm技术为两大主轴。

  2012年8月5日,台积电宣布加入荷兰阿斯麦公司所提出的“客户联合投资专案”(Customer Co-Investment Program),根据协议,台积电将投资ASML达8.38亿欧元,取得阿斯麦公司约5%股权,未来5年并将投入2.76亿欧元,支持阿斯麦公司的研发计划。

  2012年8月27日,三星宣布斥资5.03亿欧元入股以荷兰为基地的芯片商阿斯麦公司3%股权,并额外注资2.75亿欧元合作研发新技术。

  东京电子 ( Tokyo Electron ,8035.JP)成立于1963年,为全球第三大半导体设备生产商,提供给半导体与平面显示器产业。

  半导体生产设备,包括涂布机、电浆蚀刻系统、热加工系统、单晶片沉积系统、清洗系统,用于晶圆生产流程,还提供晶圆探针系统。平板显示器生产设备,包括平面显示镀膜机、平面电浆蚀刻,及电浆体化学气相沉积系统用于薄膜矽太阳能电池。

  2015年 4月 - 应用材料与东京电子表示将取消业务合并计划,理由是该计划未获得美国司法部认可。

  Lam Research Corporation成立于1980年,总部位于美国加州,是一家向全球半导体产业提供晶圆制造设备和服务的供应商。

  公司主要设计、制造、行销、维修及服务使用于积体电路制造的半导体处理设备,此外,还提供单晶圆清洁技术的多样组合。

  旗下子公司Customer Support Business Group提供可强化设备效能及效率的产品与服务。该公司提供服务的范围包括客户服务、备用零件的供应、产品升级、产品蚀刻、沉积、去除光阻及清洁等服务,并还制造、销售一系列的研磨、叠置及精密抛光等设备。2012年6月,公司完成与Novellus Systems, Inc.合并。

  2015年10月21日,科林研发公司宣布将斥资106亿美元, 以现金加股票的方式收购同业的美国半导体设备厂商科磊半导体(KLA-Tencor )。

  科磊半导体(或:科天半导体、美商科磊股份有限公司)KLA-Tencor Corporation(NASDAQ:KLAC)创立于1975年,总部位于美国加州米尔皮塔斯,全职雇员5,880人,是全球前十大IC设备生产厂商,拥有晶圆检测与光罩检测系统。

  KLA-Tencor Corporation是一家从事半导体及相关纳米电子产业的设计、制造及行销制程控制和良率管理解决方案商,其产品包括晶片制造、晶圆制造、光罩制造、互补式金属氧化物半导体(CMOS)和图像感应器制造江南体育平台、太阳能制造、LED制造,资料储存媒体/读写头制造、微电子机械系统制造及通用/实验室应用等。

  此外,科磊半导体公司还提供翻新的KLA-Tencor工具,连同其KT认证计画予客户制造更大的设计规则装置及产品支援服务。公司产品应用于许多其他行业,包括LED,资料储存和太阳能等产业,以及一般材料的研究。

  2015年10月21日,科林研发公司宣布将斥资106亿美元, 以现金加股票的方式收购科磊半导体(KLA-Tencor )

  SCREEN 集团专职研究开发各项半导体设备、液晶生产设备及专业级印刷设备,其集团公司包含全世界共有数十个服务据点,足迹遍及、日本、美国、欧洲、中国、韩国、新加坡等地。

  迪恩仕总部位于日本。从印前、印刷及相关设备到电子产业,迪恩士已在各个领域扩大了其业务范围。 在“发展思路”的公司的原则指导下,以核心图像处理技术为杠杆,不断努力开创着新的业务和产品。

  迪恩仕现在正在发展和生产印刷领域及世界领先的高科技领域的印刷技术数字化设备,如电子领域的半导体制造设备,FPDs (平板显示器)和印刷电路板。

  迪恩仕科技提供各领域之半导体晶圆设备,包含洗净、蚀刻、显影/涂布等制程用途,其中洗净设备于半导体业界具有极高之市占率,同时随着半导体制程技术进步不断推陈出新设备产品。

  ADVANTEST公司1954年成立于日本东京,主要从事大规模集成电路自动测试设备及电子测量仪器的研发、制造、销售和服务。

  半个多世纪以来,公司凭借其优秀的经营理念和尖端的技术,已成为全球最大的集成电力自动测试设备供应商之一,并在美国、欧洲、亚洲成立了多个子公司,就近向半导体行业提供完善的整体解决方案,及一流的售后服务。

  ADVANTEST公司的产品主要分为集成电路自动测试设备和电子测量仪器两大部分。集成电路自动测试设备的产品包裹SoC测试系统、Memory测试系统、混合信号测试系统、LCD Driver测试系统、动态机械手等; 电子测量仪器产品则包括频谱分析仪、网络分析仪等。近二十年来,作为半导体测试设备行业的领军企业,ADVANTEST公司的产品销售额和市场占有率在全球同行业中的排名一直数一数二,并在近几年ATE测试设备的市场份额最新排名中依然荣居榜首。

  ADVANTEST公司自二十世纪七十年始与中国展开技术交流,并与1993年正式进入中国市场。目前在北京、上海、苏州分别有注册公司(分公司)。其中技术工程师占60%以上。

  美商泰瑞达Teradyne, Inc.(NYSE:TER)创立于1960年,总部位于美国马萨诸塞州North Reading,全职雇员3,900人,是一家生产电子与通讯产品所需的自动化测试器材与相关软件的自动测试设备公司。

  美商泰瑞达(Teradyne)是一家自动测试机台的制造商(Automatic Test Equipment,ATE),产品包括半导体测试系统、电路板与电话线年,泰瑞达公司在系统整合芯片的元件测试市场中,市占率最高。

  2004 - Teradyne发布为复杂的SOC器件提供高灵活性、高工作量以及高混合的测试系统——FLEX;

  九、Hitachi High-Technologies日立全球先端科技(HHT,8036.JP)为全球半导体设备大厂。主要产品包括半导体设备、电子显微镜、液晶面板相关设备,FPD设备包括包括Array、Cell、Module、彩色滤光片之制程设备,包含玻璃基板表面检查设备、曝光机、湿制程设备..等及医疗分析设备。

  十、尼康Nikon (7731.JP)成立于1917年,是总部设在日本东京,主要分四个事业领域,分别精密设备公司、映像公司、仪器公司及其他(包括CMP装置事业、测量机事业、望远镜事业等)。

  精密设备事业部是提供积体电路曝光机和扫描仪,用于在大规模积体电路制造;影像产品事业部提供的数位相机、胶卷相机及零件,包括可互换镜头、闪光灯、胶片扫描仪等;仪器事业部提供显微镜、测量仪器、半导体检测设备。

  作为一个曾在全球最大的半导体设备企业——美国应用材料公司供职多年的专家,他从五个方面总结了半导体设备国产化的难度:

网站地图